Follow
He Xiao
He Xiao
Verified email at gatech.edu
Title
Cited by
Cited by
Year
Manifold: A parallel simulation framework for multicore systems
J Wang, J Beu, R Bheda, T Conte, Z Dong, C Kersey, M Rasquinha, ...
2014 IEEE International Symposium on Performance Analysis of Systems and …, 2014
742014
Co-design of multicore architectures and microfluidic cooling for 3D stacked ICs
Z Wan, H Xiao, Y Joshi, S Yalamanchili
Microelectronics Journal 45 (12), 1814-1821, 2014
362014
CoolPIM: Thermal-aware source throttling for efficient PIM instruction offloading
L Nai, R Hadidi, H Xiao, H Kim, J Sim, H Kim
2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS …, 2018
232018
Throughput regulation in shared memory multicore processors
X Chen, H Xiao, Y Wardi, S Yalamanchili
2015 IEEE 22nd International Conference on High Performance Computing (HiPC …, 2015
102015
Active fluidic cooling on energy constrained system-on-chip systems
W Yueh, Z Wan, H Xiao, S Yalamanchili, Y Joshi, S Mukhopadhyay
IEEE Transactions on Components, Packaging and Manufacturing Technology 7 …, 2017
92017
Leakage power characterization and minimization in 3D stacked multi-core chips with microfluidic cooling
H Xiao, Z Wan, S Yalamanchili, Y Joshi
2014 Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM …, 2014
92014
Thermal-aware processing-in-memory instruction offloading
L Nai, R Hadidi, H Xiao, H Kim, J Sim, H Kim
Journal of Parallel and Distributed Computing 130, 193-207, 2019
62019
Thermally adaptive cache access mechanisms for 3D many-core architectures
H Xiao, W Yueh, S Mukhopadhyay, S Yalamanchili
IEEE Computer Architecture Letters 15 (2), 129-132, 2015
32015
Thermal simulations in support of multi-scale co-design of energy efficient information technology systems
Y Joshi, B Barabadi, R Ghosh, Z Wan, H Xiao, S Yalamanchili, S Kumar
International Journal of Numerical Methods for Heat & Fluid Flow 25 (6 …, 2015
32015
Multi-Physics Driven Co-Design of 3D Multicore Architectures
H Xiao, W Yueh, S Mukhopadhyay, S Yalamanchili
International Electronic Packaging Technical Conference and Exhibition 56888 …, 2015
32015
VDPred: Predicting Voltage Droop for Power-Effient 3D Multi-core Processor Design
H Xiao, M Kar, S Mukhopadhyay, S Yalamanchili
2021 13th International Conference on Computer and Automation Engineering …, 2021
12021
S3-P9: Thermal and electrical performance of microfluidically cooled 3D ICs with non-uniform power dissipation
Z Wan, H Xiao, Y Joshi, S Yalamanchili
2014 Lester Eastman Conference on High Performance Devices (LEC), 1-4, 2014
12014
A multi-physics approach to the co-design of 3D multi-core processors
H Xiao
Georgia Institute of Technology, 2018
2018
On-line Optimization of Power Efficiency in 3D Multicore Processors
X Chen, H Xiao, Y Wardi, S Yalamanchili
IFAC-PapersOnLine 51 (7), 127-132, 2018
2018
Performance and Power Regulation in Multicore Processors
X Chen, H Xiao, W Song, Y Wardi, S Yalamanchili
The system can't perform the operation now. Try again later.
Articles 1–15