Follow
Tim Fühner
Title
Cited by
Cited by
Year
Dr. LiTHO: a development and research lithography simulator
T Fühner, T Schnattinger, G Ardelean, A Erdmann
Optical Microlithography XX 6520, 1226-1237, 2007
972007
Toward automatic mask and source optimization for optical lithography
A Erdmann, T Fuehner, T Schnattinger, B Tollkuehn
Optical Microlithography XVII 5377, 646-657, 2004
952004
A physically based model for the spatial and temporal evolution of self-interstitial agglomerates in ion-implanted silicon
CJ Ortiz, P Pichler, T Fühner, F Cristiano, B Colombeau, NEB Cowern, ...
Journal of applied physics 96 (9), 4866-4877, 2004
812004
Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm
T Fuhner, A Erdmann
Optical Microlithography XVIII 5754, 415-426, 2005
692005
Rigorous mask modeling using waveguide and FDTD methods: an assessment for typical hyper-NA imaging problems
A Erdmann, P Evanschitzky, G Citarella, T Fühner, P De Bisschop
Photomask and Next-Generation Lithography Mask Technology XIII 6283, 338-348, 2006
472006
Use of genetic algorithms for the development and optimization of crystal growth processes
T Fühner, T Jung
Journal of crystal growth 266 (1-3), 229-238, 2004
432004
Direct optimization approach for lithographic process conditions
T Fühner, A Erdmann, S Seifert
Journal of Micro/Nanolithography, MEMS and MOEMS 6 (3), 031006-031006-20, 2007
402007
Optical and EUV projection lithography: A computational view
A Erdmann, T Fühner, P Evanschitzky, V Agudelo, C Freund, P Michalak, ...
Microelectronic Engineering 132, 21-34, 2015
342015
Genetic algorithms to improve mask and illumination geometries in lithographic imaging systems
T Fühner, A Erdmann, R Farkas, B Tollkühn, G Kókai
Applications of Evolutionary Computing: EvoWorkshops 2004: EvoBIO, EvoCOMNET …, 2004
302004
Modeling studies on alternative EUV mask concepts for higher NA
A Erdmann, T Fühner, P Evanschitzky, JT Neumann, J Ruoff, P Gräupner
Extreme Ultraviolet (EUV) Lithography IV 8679, 495-506, 2013
282013
Extended Abbe approach for fast and accurate lithography imaging simulations
P Evanschitzky, A Erdmann, T Fühner
25th European Mask and Lithography Conference, 1-11, 2009
282009
Mutual source, mask and projector pupil optimization
T Fühner, P Evanschitzky, A Erdmann
Optical Microlithography XXV 8326, 179-190, 2012
272012
Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithography
A Erdmann, F Shao, P Evanschitzky, T Fühner
252010
Lithography simulation: modeling techniques and selected applications
A Erdmann, T Fühner, F Shao, P Evanschitzky
Modeling Aspects in Optical Metrology II 7390, 13-29, 2009
252009
Simulation-based EUV source and mask optimization
T Fühner, A Erdmann, P Evanschitzky
Photomask Technology 2008 7122, 651-664, 2008
212008
Hardware and software framework for an open battery management system in safety-critical applications
M Akdere, M Giegerich, M Wenger, R Schwarz, S Koffel, T Fühner, ...
IECON 2016-42nd Annual Conference of the IEEE Industrial Electronics Society …, 2016
202016
Artificial evolution for the optimization of lithographic process conditions
T Fühner
Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU), 2014
172014
Application of artificial neural networks to compact mask models in optical lithography simulation
V Agudelo, T Fühner, A Erdmann, P Evanschitzky
Journal of Micro/Nanolithography, MEMS, and MOEMS 13 (1), 011002-011002, 2014
172014
Mask and source optimization for lithographic imaging systems
A Erdmann, R Farkas, T Fuehner, B Tollkuehn, G Kokai
Wave-Optical Systems Engineering II 5182, 88-102, 2003
172003
Predictive modeling of EUV-lithography: the role of mask, optics, and photoresist effects
A Erdmann, P Evanschitzky, F Shao, T Fühner, GF Lorusso, E Hendrickx, ...
Physical Optics 8171, 142-157, 2011
162011
The system can't perform the operation now. Try again later.
Articles 1–20